Foundations of Mixed-Signal IC Design: A Practical Approach to Lab-to-Fab - Tiny Tapout Workshop 1

Date
Foundations of Mixed Signal

Event Menu

Description

The Columbus, OH Section Joint Chapter (SSC37/CAS04) is excited to announce its return in 2024 with a dynamic lineup of workshops!

Thanks to the generous sponsorship from the IEEE CAS Society, the chapter is proud to present a new series titled "Foundations of Mixed-Signal IC Design: A Practical Approach to Lab-to-Fab".

This series will offer a unique blend of lecture-style talks delivered by subject matter experts in the field of integrated circuit design, along with hands-on technical sessions. These sessions will guide both students and professionals through the digital design flow, preparing them for submission on one of the Tiny Tapeout shuttle runs! The society is delighted to sponsor 15-20 enthusiastic students and professionals who are eager to participate in the Tiny Tapeout shuttles at the end of the year.

About the Workshop
The Tiny Tapeout workshop series will kick off with the first of three sessions aimed at equipping participants with essential digital design fundamentals and familiarizing them with the open-source digital tool flow.

The inaugural workshop will present foundational material derived from workshop slides provided by Tiny Tapeout (https://tinytapeout.com/teaching/).

Topics covered will include semiconductor fabrication, historical perspectives on process scaling, and the fundamentals of digital design flow using open-source tools.

Following the informational session, participants will receive resources to set up the development tools on their personal computers, enabling them to embark on their own experimentation and design endeavors. Subsequent workshops will delve into more advanced applications of the digital tool flow, as well as interactive troubleshooting sessions addressing participants' design challenges. Based on feedback received, additional in-person or remote support sessions may be introduced. These workshops will complement lectures delivered by subject matter experts on IC design and testing. By the conclusion of the three sessions, our objective as society leaders is to furnish participants with a solid understanding of integrated circuit design, fabrication, and testing, with a particular emphasis on leveraging the open-source digital flow offered by the Tiny Tapeout shuttle program This initiative presents a remarkable opportunity for hands-on learning in VLSI design within a supportive environment conducive to skill development.